Advantest |
Advantest: What made it a Su ... |
Toshio Maruyama reflects on the history and future of Advantest and its role in semiconductor ATE. |
05 Jan 2006 |
23 May 2019 |
Remembering Haruo Matsuno of ... |
Matsuno-san’s legacy will be his award-winning innovative strategy* to not immediately pare ‘dupli ... |
24 Oct 2014 |
07 May 2021 |
Advantest - ATE Cost-Efficie ... |
Advantest - Improvements in Cost-Efficiency via parallel memory IC test highlights from this 1986 ... |
|
21 Oct 2019 |
Takeda Riken – Reliability ... |
Takeda Riken, the antecedent to Advantest, built it's brand on Semiconductor ATE reliability level ... |
31 Mar 1983 |
21 Oct 2019 |
Takeda Riken Enters US ATE M ... |
Takeda Riken announces plans to enter the US ATE (automatic test equipment) market with a 5O-MHz l ... |
15 Jun 1982 |
21 Oct 2019 |
Takeda Riken – Growth of ... |
Takeda Riken, the antecedent to Advantest, was hardly known outside Japan in 1980 and by 1985 it w ... |
|
21 Oct 2019 |
Advantest's 50th Anniversary |
Advantest turned 50 on July 1, 2004. It was a milestone for one of Japan's greatest tech companies ... |
|
23 May 2019 |
Is Test Adding More Value th ... |
Jack Trautman, Senior Vice President and GM of Agilent’s ATG, addresses the question of the valu ... |
18 Nov 2003 |
21 Sep 2017 |
Advantest - T3342 VLSI Test ... |
Advantest - T3342 VLSI Test System :1985-89 |
|
10 Aug 2017 |
Applied Materials |
Needs and benefits always wi ... |
This jewel is one of the most important lessons I remember from Bob Graham. The setting was at an ... |
15 Jun 1985 |
23 Jun 2022 |
A large multi-product compan ... |
It is a basic principle that a large multi-product company, which should have all the advantages, ... |
|
08 Mar 2022 |
Applied Materials - Endura 5 ... |
Applied Materials - Endura 5500 PVD: The first bullet-proof multi-chamber, multi-process system. I ... |
12 Jul 1990 |
18 Oct 2019 |
Applied Materials' Producer ... |
The Chip Insider's review of Applied Materials' Producer at its introduction |
04 Jul 1998 |
03 Jul 2018 |
Applied Materials introduces ... |
Applied Materials’ Producer marked an important turning point in the history of the semiconducto ... |
01 Jul 1998 |
03 Jul 2018 |
Applied Materials - AME 8100 ... |
Applied Materials - AME 8100 Batch Etcher :1980-84 - The blockbuster that remapped the etch market ... |
30 Jun 1985 |
26 Apr 2018 |
Applied Materials - P5000, E ... |
Applied Materials - P5000, Etcher :1985-89 - Followed the 8300, but was eclipsed. |
|
31 Aug 2017 |
Applied Materials - Nitrox A ... |
Applied Materials - Nitrox AMN-710 :1960s - Improved yields by sealing oxide pin holes. |
|
31 Aug 2017 |
Applied Materials - AMS 2000 ... |
Applied Materials - AMS 2000 Silox Reactor :1970s |
|
31 Aug 2017 |
Applied Materials - Precisio ... |
Applied Materials - Precision 5000 CVD, The blockbuster that made cluster tool a household name (a ... |
30 Jun 1985 |
31 Aug 2017 |
Building Applied Materials i ... |
Dan Maydan talks about how Applied Materials built it into a multi-billion dollar, multi-product c ... |
01 Dec 2005 |
31 Aug 2017 |
Applied Materials - The Omeg ... |
Applied Materials - The Omega-Centura System :1990s |
31 Dec 1969 |
10 Aug 2017 |
Applied Materials - SPCVD-40 ... |
Applied Materials - SPCVD-4000 :1960s - An LPCVD tool from a time when Applied could do little rig ... |
|
10 Aug 2017 |
Applied Materials - Silox Mo ... |
Applied Materials - Silox Model AMS 2600 & 2660 :1960s - An early tool for low temperature oxides. ... |
|
10 Aug 2017 |
Applied Materials - Silox Mo ... |
Applied Materials - Silox Model AMS 1200 :1960s - Wafers were laid out by hand inside the chambers ... |
|
10 Aug 2017 |
Applied Materials - Precisio ... |
Applied Materials - Precision Implant 9000 :1985-89 - Lintott’s child and another blockbuster. ... |
|
10 Aug 2017 |
Applied Materials - Precisio ... |
Applied Materials - Precision Etch 8300 :1985-89 - The extension of the blockbuster that remapped ... |
|
10 Aug 2017 |
Applied Materials - PECVD_ap ... |
Applied Materials - PECVD_application-bulletin :1970s |
|
10 Aug 2017 |
Applied Materials - Implant ... |
Applied Materials - Implant 9000, Ion Implanter :1985-1989 |
|
10 Aug 2017 |
Applied Materials - CA-800 M ... |
Applied Materials - CA-800 Mask Aligner and Exposure System: :1980-84 |
|
10 Aug 2017 |
Applied Materials - CA-2020H ... |
Applied Materials - CA-2020H Mask Aligner :1980-84 |
|
10 Aug 2017 |
Applied Materials - Autolign ... |
Applied Materials - Autolign CA 3400 Mask Aligner :1980-84 - The remnants of a failed merger. |
|
10 Aug 2017 |
Applied Materials - AMS 1000 ... |
Applied Materials - AMS 1000 Silox Reactor :1970s |
|
10 Aug 2017 |
Applied Materials - 7830Si C ... |
Applied Materials - 7830Si CD-SEM :1990s - Opal’s child. |
|
10 Aug 2017 |
Applied Materials Series 760 ... |
The system that built the company. |
30 Jun 1975 |
31 Jul 2017 |
Applied Materials - AMV CVD ... |
Applied materials vertical rotary reactors are widely used by chemical vapor deposition (CPD)... |
30 Jun 1965 |
31 Jul 2017 |
Keys to Success |
In the final installment of this three-part interview, Jim Morgan expands on the strategies that m ... |
23 Mar 2006 |
31 Jul 2017 |
Building a Global Position |
The second part of this three-part interview covers Applied Materials in the 1980s and its transfo ... |
16 Mar 2006 |
31 Jul 2017 |
Bringing Focus to AMAT |
Jim Morgan talks about the early days of Applied Materials; how he brought focus by paring down th ... |
07 Mar 2006 |
31 Jul 2017 |
Solution Selling 1977: Semic ... |
Applied Materials led the way out of selling products to seeking solutions to customer needs as ea ... |
05 Apr 1977 |
30 Jul 2017 |
Lessons Learned at Applied M ... |
Dan Maydan gives his tips about how to be successful running a technology company in the lessons l ... |
12 Dec 2005 |
24 Jul 2017 |
The Early Days of Applied Ma ... |
Dan Maydan talks about the early days of turning Applied Materials into a technology company |
29 Nov 2005 |
24 Jul 2017 |
ASML |
Reflect & Imagine 20 Years o ... |
In 2004, ASML turned 20. This book was originally published to give employees an insight into the ... |
|
28 Aug 2017 |
The Rise of ASML |
Martin van den Brink, employee number 1 at ASML, describes what it's been like to take on Japan's ... |
26 Jul 2006 |
31 Jul 2017 |
Did EUV development cost mor ... |
Factoids from the edge: Some like to say that EUV development has cost more than the Manhattan Pro ... |
14 Jan 2010 |
29 Apr 2021 |
ASML - PAS 2500/10 Stepper |
ASML - PAS 2500/10 Stepper :1985-89 |
15 Jun 1986 |
06 Sep 2018 |
ASML - Philips Beamwriter |
ASML - Philips Beamwriter :1985-89 |
|
10 Aug 2017 |
ASML - Twinscan, Step & Scan ... |
Just as the first lights of a new millennium were dawning in 1999, ASML announced its newest litho ... |
30 Jun 1995 |
01 Aug 2017 |
ASML - PAS 5500/400, Step & ... |
Though not the first step & scan system—that honor goes to Perkin Elmer—this unit was the seco ... |
30 Jun 1995 |
01 Aug 2017 |
ASML - PAS 2500 Series Wafer ... |
The system that built the company. |
30 Jun 1985 |
01 Aug 2017 |
ASML - PAS 2000 Wafer Steppe ... |
Virtually everyone seemed to be entering the semiconductor capital equipment business in the early ... |
30 Jun 1985 |
01 Aug 2017 |
Canon |
Canon - Various models of Ma ... |
Canon - Various models of Mask Aligners :1980-84 - The only company to offer all 3 types of litho ... |
|
31 Aug 2017 |
Canon - FPA-5000 ES2 Aligner |
Canon - FPA-5000 ES2 Aligner :1990s - An early DUV scanner. |
|
10 Aug 2017 |
Canon - FPA-3000 EX4 Aligner |
Canon - FPA-3000 EX4 Aligner :1990s |
|
10 Aug 2017 |
Canon - Model FPA141 Project ... |
Though not as elegantly encased as the Perkin-Elmer 100, here was Japan’s answer to the threaten ... |
30 Jun 1985 |
01 Aug 2017 |
Canon - PLA 501F/FA Proximit ... |
Proximity aligners were a bridge tool between the original contact aligners and projection aligner ... |
30 Jun 1975 |
31 Jul 2017 |
Fairchild |
First Monolithic Silicon IC ... |
1961: First Monolithic Silicon IC Chip.
Invented by Robert Noyce,
Fairchild |
30 Jun 1955 |
31 Aug 2017 |
Fairchild Systems Technology ... |
Possibly the 1st high speed, high pin count, LSI Test System. |
30 Jun 1975 |
16 May 2018 |
Fairchild Instrumentation Mo ... |
This key piece of equipment was the granddaddy of most modern IC test systems and was the workhors ... |
30 Jun 1965 |
31 Aug 2017 |
1st diffusion transistors |
Fairchild lead the industry from mesa-transistors to diffusion using Jean Hoerni's planar process, ... |
30 Jun 1955 |
31 Jul 2017 |
Lessons Learned at Fairchild ... |
Jim Bowen talks about how he took Fairchild Test Systems Group from a money loser to the world's l ... |
18 Jan 2006 |
31 Jul 2017 |
Building Fairchild Test Syst ... |
Jim Bowen talks about how he took Fairchild Test Systems Group from a money loser to the world's l ... |
12 Jan 2006 |
31 Jul 2017 |
The Turn Around at Fairchild ... |
Jim Bowen talks about how he took Fairchild Test Systems Group from a money loser to the world's l ... |
05 Jan 2006 |
31 Jul 2017 |
GCA |
Bill Tobey on the Wafer Step ... |
The stepper was one of the most important tools that would propel Moore's Law forward for decades. ... |
13 Dec 2006 |
07 Sep 2017 |
GCA - Model 8000 DSW Wafer S ... |
To stay ahead of your competition in the world of one micron production and the 1Mbit DRAM you nee ... |
|
31 Aug 2017 |
GCA XLS - Advanced Microlith ... |
The failure that was supposed to save the U.S. lithography infrastructure. It was simply a case of ... |
|
28 Aug 2017 |
GCA - 8500 Series Ion Implan ... |
VIBRATRAC, GCA's new fully automatic production end station, is the result of a two and one-half y ... |
|
28 Aug 2017 |
Bill Tobey on his Bob Graham ... |
Bill Tobey on his 2006 Bob Graham Award and Lessons Learned |
06 Dec 2006 |
31 Jul 2017 |
GCA - Wafertrac® Resist Pro ... |
Remember air track? It was all the rage in the days when technocool won out over particle counts. ... |
30 Jun 1975 |
31 Jul 2017 |
GCA - Mann 4800 Direct Step ... |
It broke the 1 micron CD barrier. |
30 Jun 1975 |
31 Jul 2017 |
GCA - The Mann 3600 Photo Re ... |
Here is the Mann and the man that together started two revolutions in the semiconductor capital eq ... |
30 Jun 1975 |
31 Jul 2017 |
IBM |
Power/Performance in ICs: Wh ... |
IBM’s Robert H. Dennard made two of the most revolutionary contributions to the semiconductor in ... |
15 Nov 1966 |
14 Nov 2019 |
IBM’s R&D Partnering Model ... |
The Chip Insider's Cook’s Tour: A deep immersion course in what IBM was doing in 2008 at both Al ... |
10 Jan 2008 |
08 May 2018 |
Why IBM sold its semiconduct ... |
IBM had a very complex set of issues to resolve, making it far more than just a simple sale of ass ... |
24 Oct 2014 |
07 May 2021 |
IBM Research Semiconductor G ... |
One of only 3 logic research centers in the world, the business case for IBM Semiconductor Researc ... |
01 Jul 2016 |
25 Mar 2021 |
IBM’s R&D Partnering Model r ... |
IBM’s R&D partnering model is one of the great business process innovations of the semiconductor i ... |
15 Jan 2010 |
07 Feb 2021 |
Gary Patton: What Math & Sci ... |
Gary Patton never knew he wanted to be a scientist when he was a kid. |
|
22 Oct 2019 |
Maskless Lithography: Circa ... |
IBM’s EL-1: a milestone in e-beam lithography |
10 Nov 1977 |
03 Sep 2018 |
IBM’s development of coppe ... |
IBM was first with copper interconnect for semiconductors. It marked the successful culmination of ... |
22 Sep 1997 |
25 Jul 2018 |
Intel |
Andy Grove on the Evolution ... |
Andrew S. Grove addresses the evolution of semiconductor manufacturing from the earliest days at F ... |
22 Mar 2005 |
31 Jul 2017 |
Intel: It wasn't always easy |
Most assume it has been paint by the numbers for Intel, since IBM first selected their microproces ... |
27 Mar 1998 |
29 Sep 2021 |
Look like a duck: How Intel ... |
Look like a duck: cool and collected on the surface, while paddling hard underneath. But never st ... |
|
30 Jul 2021 |
Yan Borodovsky: Breaking the ... |
Ever wonder how innovation really happens? Think it's someone sitting back and dreaming up an idea ... |
13 Sep 2007 |
22 Oct 2019 |
Striking Balance Between Man ... |
William Holt: Striking the Right Balance Between Manufacturing Costs and Design Features |
07 Feb 2006 |
08 Feb 2019 |
Andy Grove as an Industry Ch ... |
How Andy changed our industry in fundamental ways. |
21 Mar 2016 |
04 Aug 2018 |
Tribute to Paul Otellini |
Paul Otellini was the first to pass from the semiconductor industry’s second generation of execu ... |
02 Oct 2017 |
02 Aug 2018 |
Intel'™s CEO History |
Intel: 50 Years of Leadership |
25 Jul 2018 |
25 Jul 2018 |
Intel’s Founding Strategy ... |
These strategies were what made Intel so successful. |
18 Jul 1968 |
25 Jul 2018 |
Intel's Founding |
The story of the birth of Intel |
11 May 1968 |
25 Jul 2018 |
Decision Making at Intel |
Bill Holt on Business Incentives for Semiconductor R&D |
21 Jan 2008 |
05 Jul 2018 |
Bunny Suit History in Semico ... |
In 1968, standard clean room practices were lax. Facilities were kept reasonably clean, but employ ... |
24 May 2018 |
24 May 2018 |
Intel’s First Product |
Intel introduces its first product: The 3101 SRAM with this press release. It was part of Moore’ ... |
15 Aug 1969 |
24 May 2018 |
Intel 2003 Anniversary video |
Gordon Moore and Andy Grove talk about Intel’s history. |
|
15 May 2018 |
Inside Intel’s Microproces ... |
Inside Intel’s Microprocessor’s covers a pictorial and spec history from their first, the 4004 ... |
|
08 May 2018 |
Intel's R&D Pipeline |
The history of semiconductor research at Intel is discussed in this 2004 conversation with Sunlin ... |
05 Oct 2004 |
08 May 2018 |
Intel's Manufacturing Advant ... |
Intel's Overlooked Advantage: A Case Study in Why Manufacturing Matters |
03 Jul 1997 |
08 May 2018 |
Intel 1997 | The Chip Histor ... |
Important events and trends were in 1997 for the semiconductor industry |
27 Jun 1997 |
08 May 2018 |
Intel's 35th Anniversary His ... |
Starting a silicon revolution, also known as the “Fairchild Brain Drain,” Bob Noyce and Gordon ... |
18 Jul 2003 |
08 May 2018 |
Yan Borodovsky on DFM and Co ... |
Yan Borodovsky discusses DFM and Computational Lithography at Intel in historic 2007 interview. He ... |
13 Sep 2007 |
12 Feb 2018 |
Yan Borodovsky with a demons ... |
Yan Borodovsky demonstrates what was new in DFM and Computational Lithography at Intel in this his ... |
19 Sep 2007 |
12 Feb 2018 |
Chiang Yang on What’s New ... |
Intel's Chiang Yang talks about what's new in photomask technology and how Intel Mask Operation (I ... |
18 Oct 2006 |
12 Feb 2018 |
Kumud Srinivasan on Fab Auto ... |
Kumud Srinivasan, Director of Fab Automation, Intel discusses the status of Semiconductor Fab Auto ... |
04 Jun 2004 |
12 Feb 2018 |
An Intel Wafer Fab Cleanroom ... |
This video shows what it was like to work in a state-of-art fab during the late seventies to early ... |
02 Jun 1980 |
30 Dec 2017 |
Semiconductor wafer fab tour ... |
Go inside a 200mm fab at Intel's Fab 17 Hudson, Massachusetts factory as it existed around 2000. |
15 Jun 2000 |
30 Dec 2017 |
Intel tackles Mobile with Ce ... |
The launch of Intel’s Centrino in 2003 marks move that took laptop PCs into the mobile world. I ... |
12 Mar 2003 |
14 Oct 2017 |
Sean Maloney: Dynamic Duo |
Sean Maloney, who heads up Intel’s Mobility Group, talks about its new duo, 65 nanometer, and wh ... |
|
31 Aug 2017 |
American Competitiveness |
Craig Barrett, Chairman of Intel, describes why America is losing its edge and what needs to be do ... |
10 May 2006 |
31 Aug 2017 |
Environmental Health and Saf ... |
The semiconductor industry has always worked hard to have and to maintain extremely high health an ... |
15 Sep 1994 |
28 Aug 2017 |
Intel 300mm Wafer Fab 11X Ci ... |
This video is a manufacturing IT case study that shows Intel's first true 300mm manufacturing fab ... |
01 Jun 2004 |
22 Aug 2017 |
Craig Barrett: How Intel Reb ... |
Craig describes the origins of manufacturing lore such as Copy Exactly, Intel U, and refsnart in t ... |
04 May 2006 |
31 Jul 2017 |
Andy Grove on the developmen ... |
Andy Grove talks at IMEC 2004 - the Intel Manufacturing Excellence Conference - about the history ... |
15 Jun 2004 |
31 Jul 2017 |
Intel - 8008 CPU |
8008- 8 bit parallel central proccessing unit. |
30 Jun 1975 |
31 Jul 2017 |
Math, Science, & Your Future |
Sound Boring? Hear how very successful people have used Math & Science to make their lives wild, f ... |
27 Apr 2006 |
24 Jul 2017 |
KLA-Tencor |
KLA Conquers Phase Shift Ins ... |
Technology, Circa 1991 – As lithography moved into ever lower k factors, the concept of Phase Sh ... |
20 May 1991 |
06 Sep 2018 |
KLA Conquers the Pellicle |
Technology, Circa 1981 – It's often the overlooked news that turn out to be critical turning poi ... |
16 Nov 1981 |
06 Sep 2018 |
In the News: Technology, Cri ... |
This technical paper laid out the future of yield management, covering all the issues the industry ... |
01 Jun 1981 |
06 Sep 2018 |
KLA 200 Series Reticle Inspe ... |
KLA's Reticle Inspection System was the first automatic inspection system to ensure that killer de ... |
15 Jun 1984 |
02 Mar 2018 |
KLA-Tencor - KLA ADD/100 Ser ... |
The dawn of automated inspection. KLA's mask inspection tools took over to see what humans could n ... |
01 Jan 1980 |
23 Sep 2017 |
KLA-Tencor - KLA 2020 |
The tool that sparked the yield management revolution. |
30 Jun 1985 |
01 Aug 2017 |
Tencor at 20 Years |
Tencor (later evolved to be KLA - Tencor) is in the bedrock of the semiconductor equipment indust ... |
|
24 Jul 2017 |
Lam Research |
Lam Research AutoEtch 480 |
Lam Research’s AutoEtch 480 was a landmark in the history of plasma etchers. |
15 Jul 1981 |
14 May 2018 |
Lam Research: the Early Year ... |
One of the most celebrated companies in the equipment industry, Lam was once a scrappy start-up ta ... |
30 Jun 1985 |
28 Aug 2017 |
Excerpt from 1999 Annual Rep ... |
Long regarded as technology leader in etch, Lam took additional aggressive steps in fiscal 1999 to ... |
|
28 Aug 2017 |
Excerpt from 1984 Annual Rep ... |
Lam Research Corporation was founded in 1980 when advance in micro miniaturization technology prod ... |
|
28 Aug 2017 |
Auto Etch 590 Oxide Etcher |
The AutoEtch 590 reduces ion bombardment and attendant device damage by placing the wafer on the g ... |
|
28 Aug 2017 |
Nikon |
Nikon - NSR-2205i11D Step-an ... |
The state-of-the-art workhorse for 0.35 micron. |
|
19 Sep 2017 |
Nikon - 25 Years of Innovati ... |
25 Years of Nikon Innovation traces the history of Nikon steppers from 1980 through 2005. |
|
31 Aug 2017 |
Nikon - NSR 1755EX8A Step-an ... |
An early excimer laser stepper for 0.45 micron. |
30 Jun 1995 |
31 Aug 2017 |
Nikon - NSR Series Steppers |
The series that launched a thousand careers. The NSR series would become the chip maker’s model ... |
|
28 Aug 2017 |
Nikon - NSR 2005 i10C/i9C i- ... |
Nikon Step-and_Repeat Systems NSR-205i10c/i9c |
|
28 Aug 2017 |
Nikon - NSR-1505G2A stepper |
The NSR-1505G2A is equipped with a new higher-resolution reduction projection lens that has a grea ... |
|
28 Aug 2017 |
SEMI |
SEMICON Conceived |
SEMI's Great Moments in Semiconductor History: The conception of the SEMICON trade show in 1970 is ... |
01 Jan 1970 |
21 Oct 2019 |
Art Zafiropoulo and his 2000 ... |
Art Zafiropoulo was honored for championing technology through innovative marketing approaches: fi ... |
29 Nov 2004 |
21 Oct 2019 |
The Bob Graham Award |
What About Bob - Robert Graham's video biography of his career with comments about him, sometimes ... |
29 Nov 2004 |
21 Oct 2019 |
Jim Healy and his 2001 award ... |
Jim Healy was honored for the establishment of industry specific selling processes in test. Jim wr ... |
29 Nov 2004 |
21 Oct 2019 |
Barry Rapozo and his 2001 aw ... |
Barry Rapozo was honored for the establishment of selling processes in wafer fab. He identified an ... |
29 Nov 2004 |
21 Oct 2019 |
Jerry Hutcheson and his 2002 ... |
Jerry Hutcheson was honored for pioneering and developing relational databases on the equipment in ... |
29 Nov 2004 |
21 Oct 2019 |
2002: Ed Segal | The Chip Hi ... |
Ed Segal was honored for his establishment of a global representative organization that made it po ... |
29 Nov 2004 |
21 Oct 2019 |
2003: Steve Nakayama |
Steve Nakayama honored for his contribution in helping globalize the semiconductor equipment indus ... |
29 Nov 2004 |
21 Oct 2019 |
International Standards and ... |
A conversation with Robert N. Noyce and Karel Urbanek |
15 Jun 1990 |
21 Oct 2019 |
Silicon Valley Genealogy |
AMD's and Intel's can be traced back to Fairchild and Shockley Transistor with this genealogy of s ... |
|
21 Oct 2019 |
Making Silicon Commercially ... |
SEMI's Great Moments in Semiconductor History: In the mid-1950s. Dr. Henry W. Gutsche (right), dev ... |
15 Jun 1955 |
21 Oct 2019 |
Invention of the Integrated ... |
SEMI's Great Moments in Semiconductor History: Invention of the IC |
28 Aug 1958 |
27 Aug 2019 |
1947-The Transistor is Disco ... |
SEMI's Great Moments in Semiconductor History: In 1947, Walter Brattain and John Bardeen, working ... |
16 Dec 1947 |
23 Jul 2019 |
Reminiscences from SEMICON |
The industry was in its childhood? When two or three SEMICON trade shows covered the entire world? |
15 Jul 1971 |
06 Sep 2018 |
Silicon Valley Group (Acquired by ASML) |
Silicon Valley Group - Micra ... |
Introducing Micrascan III+ for Critical Level Lithography |
|
31 Aug 2017 |
Silicon Valley Group - Micra ... |
Significant improvement in manufacturing worthiness for the first Step-and-Scan series. |
|
31 Aug 2017 |
Silicon Valley Group - ProCe ... |
Silicon Valley Group - ProCell Track System - 200/300mm System Specifications |
|
29 Aug 2017 |
Silicon Valley Group - Model ... |
Silicon Valley Group - Model 8800 ATS Track (Arm Transport System) |
|
29 Aug 2017 |
Silicon Valley Group - 90 Se ... |
Silicon Valley Group - 90 Series Resist Processing - High Productivity photo processing |
|
29 Aug 2017 |
TEL |
Tetsuo (Tom) Tsuneishi - HoF |
Tetsuo (Tom) Tsuneishi for providing the strategic vision behind Tokyo Electron’s successful his ... |
|
04 Dec 2018 |
Terry Higashi - HoF |
Terry Higashi navigated TEL through the toughest times the Equipment Industry has ever seen, all t ... |
|
04 Dec 2018 |
Tokyo Electron's History thr ... |
TEL's history and philosophy of serving semiconductor manufacturers |
15 Jun 2003 |
05 Jul 2018 |
TEL - Clean Track Mark II |
TEL's Clean Track Photoresist Processing System |
01 Jan 1992 |
05 Jul 2018 |
TEL - Line Card |
TEL's semiconductor production equipments meet the need of the age of VLSI. |
|
11 Sep 2017 |
TEL - Model TMC 4400-A Wafer ... |
If this photo looks a bit ghostly that’s because it is. We have no surviving photos of the TEL m ... |
30 Jun 1985 |
01 Aug 2017 |
Teradyne |
Alex d'Arbeloff on Teradyne' ... |
How Teradyne got started and what it was like to be a start-up in the sixties. |
23 May 2006 |
12 Feb 2018 |
The Father of ATE (Automatic ... |
One of our industry legends, Nick DeWolf, has passed on. DeWolf co-founded Teradyne with Alex d'A ... |
15 Jul 2017 |
02 Dec 2019 |
Alex d'Arbeloff on Lessons L ... |
Alex d'Arbeloff's essential rules for running a semiconductor equipment company |
07 Jun 2006 |
12 Feb 2018 |
Alex d'Arbeloff on Teradyne' ... |
Alex describes the second phase of Teradyne history and how they built the company into an industr ... |
01 Jun 2006 |
12 Feb 2018 |
Teradyne J259 IC Test System |
This famous test system was the first truly computer-controlled IC test system. |
01 Jun 1966 |
01 Dec 2017 |
Teradyne - J973 VLSI Test Sy ... |
Teradyne - J973 VLSI Test System |
|
11 Sep 2017 |
Ultratech (Formerly Ultratech Stepper) |
Dave Markle: Ultratech/Perki ... |
Dave Markle, Senior Vice President & Chief Technical Officer at Ultratech, describes what math and ... |
|
22 Oct 2019 |
Ultratech Stepper - Saturn S ... |
Saturn wafer stepper Advancing Mix-and-Match Lithography |
|
13 Sep 2017 |
Ultratech - Positive Resist ... |
Ultratech - Positive Resist Develop/Rinse Station Model 504 - For automatic batch developing and r ... |
|
13 Sep 2017 |
Ultratech - Positive Photore ... |
Ultratech - Positive Photoresist Develop Station Model 503 |
|
13 Sep 2017 |
Ultratech - Model 504 Resist ... |
Ultratech - Model 504 Resist Develop/Rinse System - Positive photo resist Develop / Rinse Station ... |
|
13 Sep 2017 |
Ultratech Stepper - Model 90 ... |
Ultratech Stepper - Model 900 H/G Line stepper - Versatile, Adaptable, Flexible |
|
11 Sep 2017 |
Ultratech - Pellicle Equipme ... |
Ultratech - Pellicle Equipment and Accessories - To implement the use of pellicle protected masks ... |
|
11 Sep 2017 |
|
a-c |
AAI Series 1000 Automatic Te ... |
This logic tester represented another early attempt at capturing the automatic test equipment mark ... |
30 Jun 1965 |
08 May 2018 |
Accelerators Inc.- 400 Serie ... |
This photo from the AI 400 series high energy implanters is one of the earliest decent photos from ... |
30 Jun 1975 |
31 Jul 2017 |
Accutest - 103A Watch Circui ... |
Watch circuit test system 193A |
|
10 Aug 2017 |
ADAR - MX-17 LSI Test System |
MX-17 LSI Test System by Adar Associates, Inc... |
30 Jun 1975 |
31 Jul 2017 |
ADAR - Doctor, Memory Tester |
Adar Doctor 32 RAM/ROM Memory Tester..... |
30 Jun 1975 |
31 Jul 2017 |
AMD - Automated Precision Ma ... |
This video describes one of the most important factors in how AMD surged ahead to become a world l ... |
|
15 May 2018 |
 Tom Sonderman on Automated P ... |
Thomas Sonderman discusses Automated Precision Manufacturing and how it allows AMD to compete effe ... |
29 Mar 2004 |
08 May 2018 |
AMI - Series 2000, 2nd Op St ... |
The AMI Model 2100 is an excellent representative of Second Optical Inspection systems, or 2nd Op, ... |
30 Jun 1985 |
31 Jul 2017 |
Anelva - MBE 831 Molecular B ... |
Anelva - MBE 831 Molecular Beam Epitaxy System :1985-89 |
|
10 Aug 2017 |
Why the Giant Computer Compa ... |
The technology story of the 60s was about the rise of computer giants, with IBM at the vanguard. T ... |
15 Jun 1985 |
29 Sep 2021 |
Apple’s iFolly: pricing st ... |
Apple's first iPhone pricing gaff of having to take a $200 haircut from its original retail price ... |
21 Sep 2007 |
02 Mar 2018 |
APT - 9155 E-Beam Resist Pro ... |
APT - 9155 E-Beam Resist Processor :1985-89 |
|
31 Aug 2017 |
 Lessons Learned |
Mihir Parikh tells us about the big Automation Do's and Don'ts |
21 Aug 2008 |
22 Oct 2019 |
 Mihir on the History of Auto ... |
Mihir on the History of Automation in the Semiconductor Industry |
13 Aug 2008 |
22 Oct 2019 |
ASET - Model 1562 g-Line Ste ... |
ASET - Model 1562 g-Line Stepper :1985-89 - Once a leader, they died after Japan’s onslaught. ... |
|
31 Aug 2017 |
ASM Assembly Automation - PF ... |
Here are two fine examples of third optical inspection systems when such systems were at their zen ... |
30 Jun 1985 |
31 Jul 2017 |
ASM/Lawton - Encapsulation P ... |
ASM/Lawton - Encapsulation Press :1970s - Helped make all those plastic DIP packages possible. |
|
31 Aug 2017 |
A tribute to Arthur del Prad ... |
a real visionary for our industry
the father of Europe’s equipment industry
Art had th ... |
28 Oct 2016 |
31 Dec 2020 |
Asyst - SMIF System |
Back in the early eighties people dressed up in hot, humid and extremely uncomfortable Bunny Suits ... |
30 Jun 1995 |
01 Aug 2017 |
History of Ion Implantation |
History of Ion Implantation Systems and their importance in the development of semiconductor manuf ... |
15 Jun 1980 |
26 Oct 2017 |
BTU - Bruce Diffusion Furnac ... |
BTU - Bruce Diffusion Furnaces :1980-84 - A Real Workhorse from the Early Days. |
|
10 Aug 2017 |
Cambridge Instruments - EBML ... |
Cambridge Instruments - EBML 300 :1985-89 - Maskless Lithography from twenty years ago. |
|
10 Aug 2017 |
Cameca - XPWS 301 Proximity ... |
Cameca - XPWS 301 Proximity Step and Repeat System :1980-84 - Thompson’s x-ray spin-off. |
|
31 Aug 2017 |
Cameca - 600 Series Steppers |
Cameca - 600 Series Steppers :1980-84 |
|
10 Aug 2017 |
Cameca - ARW Aligner Repeate ... |
Cameca was a European based attempt from the research department of Thomson CSF to penetrate the n ... |
30 Jun 1985 |
01 Aug 2017 |
Cammax Precima - PRS14E Phot ... |
Cammax Precima - PRS14E Photoresist Spinner :1990s |
|
31 Aug 2017 |
Censor - SRA-100 Mask Aligne ... |
Censor - SRA-100 Mask Aligner :1970s - Backed by Porsche and Gordon Moore and it still went wrong. |
|
10 Aug 2017 |
Chuo Riken - Resist Processi ... |
Chuo Riken - Resist Processing Equipment : |
|
10 Jul 2017 |
Cobilt - Autofab, Inline Res ... |
Cobilt - Autofab, Inline Resist Processing System |
15 Jun 1974 |
05 Jul 2018 |
Cobilt - Model AF110 Brushle ... |
Cobilt - Model AF110 Brushless Scrubber :1980-84 |
|
31 Aug 2017 |
CONVAC - Modul 2000 series |
CONVAC compact equipment is derived from fully developed components of Module 2000 Series with pro ... |
|
31 Aug 2017 |
CONVAC - Photo Mask Processi ... |
CONVAC Photo mask processing equipment |
|
10 Aug 2017 |
CONVAC - Modul 6000 series |
CONVAC Module 6000 Series photo-lithographic process equipment meets the most stringent requiremen ... |
|
10 Aug 2017 |
CONVAC - APTCON 3000 Modular ... |
The APTCON 3000 is a modular spin processing system designed by APT and CONVAC, the worlds leading ... |
|
10 Aug 2017 |
d-f |
 Anantha Sethuraman: Copper I ... |
In 1998, IBM and Novellus caught the world off-guard when they announced they were ready for coppe ... |
|
22 Oct 2019 |
Drytek - DRIE 100 Plasma Etc ... |
The Drytek DRIE 100 plasma etcher was the template for all cluster tools that would follow. |
30 Jun 1985 |
11 Mar 2020 |
Model IS-4 2nd Op Inspection ... |
Here is another excellent example of an early Second Optical Inspection Station representative of ... |
30 Jun 1985 |
01 Aug 2017 |
DW Industries Vacuum Deposit ... |
During many of the early years, in a little shop on Arques Avenue in Sunnyvale, California, Davis ... |
30 Jun 1965 |
31 Jul 2017 |
Eaton - Optimetrix 8000 Seri ... |
From the West Coast’s premier, but now dead, stepper company. |
|
11 Aug 2017 |
Eaton - LSI Series Wafer Pro ... |
The Eaton LSI Series has features and performance to solve today's toughest wafer processing probl ... |
|
10 Aug 2017 |
 Eaton's Semiconductor Equipm ... |
Eaton's Semiconductor Foray, a case study. It was supposed to be the semiconductor equipment compa ... |
25 Oct 2006 |
31 Jul 2017 |
Edwards - Thin Film Depositi ... |
Versatile Systems For Thin Film Deposition |
|
11 Aug 2017 |
Electroglas - Model 3001 Waf ... |
Electroglas - Model 3001 Wafer Prober System :1990s |
|
11 Aug 2017 |
Electroglas Model 131B Motor ... |
The elegant simplicity of this small machine belies the fact that an earlier ancestor was the gran ... |
30 Jun 1965 |
31 Jul 2017 |
Electromask - 700SLR Stepper |
Arguably the best stepper of its day, based on resale values. |
30 Jun 1975 |
31 Aug 2017 |
Electronic Visions - EV150 R ... |
Electronic Visions - EV150 Resist Processing System :1990s |
|
11 Aug 2017 |
ESEC Group Products |
ESEC is a leading supplier of system solutions and technologies for its business partners in silic ... |
31 Dec 1969 |
11 Aug 2017 |
The 1st Cleanroom |
The cleanroom was invented in the early 1960s by Willis Whitfield, while working at Sandia Nationa ... |
24 Nov 1964 |
11 Feb 2020 |
FSI - Polaris, Coating Systm |
FSI - Polaris, Coating System :1990s |
|
14 Aug 2017 |
FSI - 8251 Clean Machine |
The 8251 single cassette, horizontal, on-center clean machine features the high quality and rugged ... |
|
14 Aug 2017 |
Fusion - MICROLITE Series 15 ... |
Microlite 150 Series Photostabilizer Systems provide a clean one-step process for hardening of pho ... |
|
31 Aug 2017 |
Fusion - UV Gemini Photostab ... |
Gemini-The Platform of Excellence for Photoresist Processing |
|
28 Aug 2017 |
Fusion - Dual Chamber Photos ... |
Dual Chamber Photostabilizer |
|
28 Aug 2017 |
Fusion - 200 PCU Photostabil ... |
The 200PCU Photostabilizer utilizes the standard dual unicassette wafer handling platform. |
|
14 Aug 2017 |
g-i |
Gasonics - HiPOX System |
Possibly the only successful high pressure system. |
30 Jun 1975 |
31 Jul 2017 |
Genus - 8720 Tungsten CVD Sy ... |
This system, from about 1988, represents one of the most successful tungsten deposition systems. |
30 Jun 1985 |
01 Aug 2017 |
Genus - 1510 MeV Ion Implant ... |
High-energy ion implanters, typically in the range of 1 to 3 MEV, were originally looked at in the ... |
30 Jun 1985 |
01 Aug 2017 |
GS Blue M Electric |
Blue M Class A batch ovens are mechanical convection electric chambers that include safety feature ... |
|
31 Aug 2017 |
Hampshire - Series 3500 X-Ra ... |
X-Ray Exposure technology has long been said to be the technology of tomorrow. That was as true in ... |
30 Jun 1995 |
01 Aug 2017 |
Headway Research - R & D Spi ... |
R & D spin coater for wafers up to 300 mm in diameter. Alignment system/chuck is for both the 200 ... |
|
28 Aug 2017 |
Headway Research - LS22 Spin ... |
The LS22 Series of large substrate spinners is designed for versatility, ease of use and safety in ... |
|
28 Aug 2017 |
High Voltage Engineering - M ... |
High Voltage Engineering shipped the first commercial Ion Implanter, built in 1965 by Peter Rose. ... |
|
28 Aug 2017 |
Dawn of the CD SEM |
The Dawn of the CD SEM came with Hitachi’s (now Hitachi High-Technologies) add-on accessory, the ... |
18 Jun 1985 |
26 Jul 2019 |
Hitachi - RA-101HL & RA501HL ... |
Rare captively made stepper with a Minolta Lens. |
|
28 Aug 2017 |
Hitachi - HL-700, E-beam Lit ... |
Rare captively made e-beam system that would break out into the commercial world. |
|
28 Aug 2017 |
IMS - Ion Beam Exposure Tool |
The push for sub-micron line features sprouted several experimental attempts, among them X-Ray, e- ... |
30 Jun 1985 |
01 Aug 2017 |
Integrated Technologies - P ... |
The model P 3201 is a low cost, highly efficient dip coating system customarily used in applying p ... |
|
28 Aug 2017 |
Irie Seisakusho - Spin Drier |
Irie Seisakusho - Spin Drier |
|
28 Aug 2017 |
j-l |
JEOL - JBX-6A Electron Beam ... |
A 6th gen maskless litho tool from the early 80's. |
30 Jun 1985 |
01 Aug 2017 |
JSR - Plasmaster-Si, Silylat ... |
Plasmaster-Si is a silylation track specially dedicated to the Desire process. |
|
28 Aug 2017 |
ACS200 Lithography Cluster |
The SUSS ACS200 Microlithography Cluster offers superior process performance and unsurpassed produ ... |
|
31 Aug 2017 |
RC8-RC33 Spin Coaters: 1990s |
SUSS spin coaters combine state of the art technology with an innovative concept. |
|
28 Aug 2017 |
Karl Suss - LSX10 X-Ray Expo ... |
The Karl Suss company was one of the first companies to explore commercial X-Ray exposure systems. |
30 Jun 1985 |
01 Aug 2017 |
Uniplane System 4000 High Pr ... |
The versatile programmability of Kasper's System 4000 High Pressure Scrubber allows semiconductor ... |
|
28 Aug 2017 |
A tribute to Ken Schroeder |
A tribute to Ken Schroeder
• One of those rare individuals who without,
- Moore’s Law ... |
21 Nov 2016 |
31 Dec 2020 |
How KLA has keeps moving the ... |
Few companies have accelerated the industry as much as KLA has. |
24 May 2019 |
24 May 2019 |
 Kulicke & Soffa - Wire Bondi ... |
Ever wonder how the nanochips you see in pictures wind up covered in plastic on a printed circuit ... |
|
28 Aug 2017 |
Kulicke & Soffa - Model 1471 ... |
Kulicke & Soffa Model 1471 High Productivity Wedge Bonder |
|
28 Aug 2017 |
 KULICKE & SOFFA - 50th Anniv ... |
KULICKE & SOFFA - 50th Anniversary |
|
28 Aug 2017 |
LASA Industries - Prototype |
Here is the first true lights-out-fab. Since the beginning of the industry the dream was to have a ... |
30 Jun 1985 |
31 Aug 2017 |
Lasarray - DWL 2.0, Laser St ... |
The DWL 2.0 has been designed with a modular concept in order to ensure maximum up-time and to fac ... |
|
28 Aug 2017 |
Leica - VB 5/6 and ZBA 31H/3 ... |
The child of the legendary Cambridge maskless systems. |
|
28 Aug 2017 |
Leybold - Heraus-Vertical In ... |
An early disk coating system |
|
28 Aug 2017 |
Leybold - Heraus-4 inch CZ c ... |
You have to make capital investment decision to secure availability of monocrystalline silicon of ... |
|
28 Aug 2017 |
LFE Corporation - 501 Chrome ... |
Not the first such system, but near the first. |
30 Jun 1975 |
05 Jul 2018 |
Lintott - Early Ion Implante ... |
One of the first commercial high current ion implanters. |
30 Jun 1975 |
31 Jul 2017 |
m-o |
Macrodata - MD100 Test Syste ... |
This little bench-top tester was a real Mighty Mouse© as the sixties ended and the seventies bega ... |
30 Jun 1975 |
31 Jul 2017 |
Microair - laminar flow clea ... |
Suspended vertical laminar flow stations from Micro Air fulfill a variety of needs where clean air ... |
|
28 Aug 2017 |
Micronix - MX-15 X-Ray Litho ... |
The first commercial American X-ray lithography system. |
30 Jun 1985 |
01 Aug 2017 |
MRC - Series 900 In-Line Spu ... |
The semiconductor industry was plunging rapidly into alloy deposition to overcome many separate pr ... |
30 Jun 1975 |
31 Jul 2017 |
MTI - Model 6300 Scrubber |
Model 6300C, 6301C(SEE ALSO MODEL 6300 & 6302 DATA SHEET) SUPER SCRUBBER WAFER CLEANERS... to elim ... |
|
31 Aug 2017 |
MTI - Omnichuck |
OmniChuck Advanced Wafer Processing System |
|
28 Aug 2017 |
MTI - MultiFab System Overvi ... |
MultiFab's modular design provides easy reconfiguration, maintenance and repair. |
|
28 Aug 2017 |
Muhlbauer - VideoSem, Die Bo ... |
The VideoSem 2010 is a fully automatic vision system for die bond inspection. |
|
28 Aug 2017 |
Nippon Seiko - TZ-310 Exposu ... |
TZ-310 Multi-Function System for Semiconductor Production |
|
29 Aug 2017 |
 Silicon Valley Alternatve En ... |
This video documents the Silicon Valley Alternative Energy Summit, where over 85 CEOs and local po ... |
|
29 Aug 2017 |
 Novellus - Copper |
This ignited the Copper Revolution |
|
29 Aug 2017 |
Novellus - Concept One CVD D ... |
This photo shows a descendant of the first Concept One, almost a decade after its original develop ... |
30 Jun 1985 |
01 Aug 2017 |
Optical Associates - DUV 40K ... |
Deep UV 40KX ULTRACURE Photoresist Stabilization Sytsem |
|
29 Aug 2017 |
p-r |
Pacific Western - Model 560 ... |
For years the Coyote held a favored spot among users as the best little CVD system around. |
30 Jun 1985 |
01 Aug 2017 |
Pacific Western - The Probe ... |
A little system that was to become one of the most favored probers on the west coast was manufactu ... |
30 Jun 1965 |
31 Jul 2017 |
Perkin Elmer - Photo Gallery |
This photo gallery represents a salute to Perkin-Elmer. Though perhaps more well-known as the make ... |
|
31 Aug 2017 |
Perkin-Elmer - Micralign 600 |
A pinnacle technically, it was also the end of the road for projection aligners. |
|
31 Aug 2017 |
Perkin-Elmer - MEBES Pattern ... |
It only took 196M Bytes of disk storage for masks in 1983, but hasn’t changed is the 24 hours ta ... |
|
31 Aug 2017 |
Perkin Elmer - Micralign Pro ... |
The Intel secret weapon that turned the industry upside-down and revolutionized lithography. |
30 Jun 1975 |
01 Aug 2017 |
RVSI - WS-1000 Bumped Wafer ... |
High Throughput Bumped Wafer Characterization System |
31 Dec 1969 |
29 Aug 2017 |
s-u |
The 3 business integration m ... |
Of the three business integration models: Horizontal, Vertical, and Matrix, matrix is the most pow ... |
|
21 Jul 2021 |
Screen - The P210 Wet Proces ... |
When I first visited this company in the early seventies, it was known as Dainippon Screen. |
30 Jun 1985 |
01 Aug 2017 |
 SEMATECH - An Oral History |
An interview with Dave Anderson |
16 Jun 1992 |
30 Dec 2017 |
 How the SIA Got Started |
How the SIA got started is an video interview with the legendary Wilf Corrigan who was there when ... |
16 May 2007 |
23 Mar 2018 |
 The Development of the ASIC ... |
In this segment, Wilf Corrigan details the structural ingredients of the ASIC semiconductor busine ... |
24 May 2007 |
22 Oct 2019 |
 The Lessons He Learned and W ... |
In this segment, learn from Wilf why learning the fundamentals are so important and what you most ... |
30 May 2007 |
22 Oct 2019 |
Semiconductor Industry Assoc ... |
The Semiconductor Industry Association (SIA) was founded in April 1977. The article by Ron Iscoff ... |
31 Dec 1969 |
29 Aug 2017 |
 SRC 25th Anniversary |
The history and accomplishments of the Semiconductor Research Corporation |
01 Jun 2007 |
22 Oct 2019 |
Semiconductor Systems - 8050 ... |
The 8050 yields consistent, repeatable wafer-to-wafer results, providing maximum throughput within ... |
|
31 Aug 2017 |
Semiconductor Systems - Syst ... |
Semiconductor Systems' new System 200 is state-of-the-art equipment for submicron lithography that ... |
|
29 Aug 2017 |
Semiconductor System - 150 L ... |
System 150 is the intelligent solution for successful submicron process control. Semiconductor Sys ... |
|
29 Aug 2017 |
Semitool - Developer/Strippe ... |
Semitool's history of engineering excellence includes the creation of the first horizontal on-axis ... |
|
29 Aug 2017 |
SET - TP6000 Spinner |
The TP 6000 model has been designed and developed according to a very modular concept which make i ... |
|
31 Aug 2017 |
SET - TP6050 GYRSET Spinner |
The SET's Answer To Your Photoresist Coating Problems |
|
29 Aug 2017 |
SET - MA 750 Mask Aligner |
A common example of how low barriers to entry were. |
|
29 Aug 2017 |
Setek - MS-610 Series Resist ... |
Setek - MS-610 Series Resist Processing |
|
07 Jul 2017 |
Shinkawa - SWB-FA-UTC-40 Wir ... |
Well known in the U.S. as a Marubeni import, Shinkawa’s wire bonders had also been recognized th ... |
30 Jun 1985 |
01 Aug 2017 |
Solitec - 800 Series Automat ... |
Solitec's commitment to quality automation delivers results |
|
29 Aug 2017 |
Solitec - 1111-TD Constant T ... |
As semiconductor geometry device continues to shring, positive resist systems are becoming increas ... |
|
29 Aug 2017 |
Sumitomo Heavy Industries - ... |
A precursor to the excimer laser. But it was solely for X-ray wavelengths. It was an essential par ... |
|
31 Aug 2017 |
Tazmo - Spin Coater TR6000 a ... |
Tazmo - Spin Coater TR6000 and TR5000 Series |
|
29 Aug 2017 |
Technics - PE II-A Planar Et ... |
The new approach to etching and deposition: The PlanarEtch ||A Plasma systems from technics |
|
29 Aug 2017 |
Tegal - Plasma Inline 700 Si ... |
What the cutting edge of etching looked like in 1980 |
30 Jun 1985 |
01 Aug 2017 |
Tempress - DDC Diffusion fur ... |
Tempress - A unit of general signal - DDC Diffusion furnace |
|
13 Sep 2017 |
Tempress - Model 216 Pyrox R ... |
You might think that some of the early glass deposition systems looked like grandma’s high-press ... |
30 Jun 1975 |
31 Jul 2017 |
Making Silicon Commercially ... |
This is test |
30 Oct 2020 |
30 Oct 2020 |
Thermco Diffusion Furnace |
Here is an excellent view of a state-of-the-art diffusion furnace from the mid 1960s. |
30 Jun 1965 |
31 Jul 2017 |
Toshiba - Space Linear Magne ... |
Toshibas advanced control technique created this highly innovative system |
|
13 Sep 2017 |
Transistor Automation - Mode ... |
Here is a workhorse of the early industry, the Teledyne TAC model XY540 wafer prober. An improved ... |
30 Jun 1975 |
19 Sep 2017 |
TRE - 800SLR Wafer Stepper |
The fully automatic TRE - 800SLR Wafer Stepper |
|
11 Sep 2017 |
TSMC Founded - The First Pur ... |
Semiconductor History being made in the 1980’s
The founding of TSMC on February 21, 1987 mark ... |
21 Feb 1987 |
14 Sep 2017 |
Tylan - Baking System |
Tylan - Baking System |
|
06 Jul 2017 |
Ultratech’s History |
Founded in 1979 as Ultratech Stepper, it was the oldest stepper company in the world until its sal ... |
29 Sep 2017 |
26 Oct 2017 |
v-x |
Varian - 3280 Cassette-to-Ca ... |
The time was the early eighties. Change for semiconductor equipment was in the air, you could almo ... |
|
13 Sep 2017 |
Varian - VLS 1000 Electron B ... |
Remember when Varian was in the lithography business? You dont? Well, this was one of the top e-be ... |
30 Jun 1985 |
11 Sep 2017 |
Varian - Vacuum/Coater |
varian 3135 Vacuum/Coater System |
|
11 Sep 2017 |
Varian - The MBE GEN II - M ... |
Not many commercial machines get more exotic than this. It even looks like something out of StarTr ... |
30 Jun 1985 |
01 Aug 2017 |
Mix & Match Photoresist Proc ... |
In the early eighties virtually every company was seeking entry into the equipment business, or ex ... |
30 Jun 1985 |
11 Sep 2017 |
Veeco - Flexible Automated W ... |
Veeco - Flexible Automated Wafer Fab System - Increased productivity, Improve yields , reduce inve ... |
|
11 Sep 2017 |
Veeco - ai Model 2100 Ion Im ... |
Accelerators Inc was one of the three or four early implementers of ion implanters. The company wa ... |
30 Jun 1975 |
31 Jul 2017 |
Verigy - (HP) 93000 |
Today the company is known as Verigy and they are considered a powerhouse of semiconductor Automat ... |
30 Jun 1995 |
31 Aug 2017 |
Verteq - Ultraclean High Pur ... |
Verteq - Ultraclean High Purity Megasonic System offers the same superior cleaning performance and ... |
|
13 Sep 2017 |
Westech - Model 372 Wafer Po ... |
They had the world on a string and were sitting on a rainbow, but had no idea of what a customer w ... |
30 Jun 1985 |
01 Aug 2017 |
X-TEK - HMX Xray Inspection ... |
HMX Xray Inspection System : A high performance system for general non-destructive testing |
|
06 Oct 2017 |